ITRS lithography roadmap: 2015 challenges

Abstract
In the past few years, novel methods of patterning have made considerable progress. In 2011, extreme ultraviolet (EUV) lithography was the front runner to succeed optical lithography. However, although EUV tools for pilot production capability have been installed, its high volume manufacturing (HVM) readiness continues to be gated by productivity and availability improvements taking longer than expected. In the same time frame, alternative and/or complementary technologies to EUV have made progress. Directed self-assembly (DSA) has demonstrated improved defectivity and progress in integration with design and pattern process flows. Nanoimprint improved performance considerably and is pilot production capable for memory products. Maskless lithography has made progress in tool development and could have an α tool ready in the late 2015 or early 2016. But they all have to compete with multiple patterning. Quadruple patterning is already demonstrated and can pattern lines and spaces down to close to 10-nm half pitch. The other techniques have to do something better than quadruple patterning does to be chosen for implementation. DSA and NIL promise a lower cost. EUV promises a simpler and shorter process and the creation of 2-D patterns more easily with much reduced complexity compared to multiple patterning. Maskless lithography promises to make chip personalization easy and to be particularly cost effective for low-volume chip designs. Decision dates for all of the technologies are this year or next year.

This publication has 2 references indexed in Scilit: