Application of ethyltrimethylammonium hydroxide (ETMAH) as an alternative developer solution/process for semiconductor lithography

Abstract
Investigations were made on the application of aqueous ethyltrimethylammonium hydroxide (ETMAH) as an alternative developer solution (compared to the de facto standard aqueous tetramethylammonium hydroxide or TMAH) for mainstream lithographic technologies; extreme ultraviolet (EUV), ArF immersion (ArFi), KrF, and i-line. Results show that for EUV, ETMAH at a specific developer concentration allows mitigation of resist-based stochastic defects while maintaining lithographic performance. It was also found that the ETMAH developer solution is compatible with the ArFi, KrF, and i-line lithography (ultimate resolution and line width roughness was maintained). For EUV, ArFi, and KrF lithography which utilizes chemical amplification resist (CAR) material platforms, sensitivity remained constant. For i-line lithography, sensitivity was observed to decrease by roughly 25%–30% when ETMAH was utilized, attributed to the different dissolution mechanism of the novolac-based resist compared to CAR. Nevertheless, these results show the viability of ETMAH as an alternative developer solution for mainstream semiconductor lithography.

This publication has 39 references indexed in Scilit: