Vector Runahead for Indirect Memory Accesses

Abstract
Vector Runahead delivers extremely high memory-level parallelism even for chains of dependent memory accesses with complex intermediate address computation, which conventional runahead techniques fundamentally cannot handle and therefore have ignored. It does this by rearchitecting runahead to use speculative data-level parallelism, rather than work-skipping, as its primary form of extracting more memory-level parallelism in runahead mode than a true execution can, which we hope will bring about an entirely new dimension for high-performance processors.
Funding Information
  • Fonds Wetenschappelijk Onderzoek (G.0144.17N)
  • Engineering and Physical Sciences Research Council (EP/P020011/1)
  • H2020 European Research Council (741097)

This publication has 11 references indexed in Scilit: